asm.c 36 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401140214031404140514061407140814091410141114121413141414151416141714181419142014211422142314241425142614271428142914301431143214331434143514361437143814391440144114421443144414451446144714481449145014511452145314541455145614571458145914601461146214631464146514661467146814691470147114721473147414751476147714781479148014811482148314841485148614871488148914901491149214931494149514961497149814991500150115021503150415051506150715081509151015111512151315141515151615171518151915201521152215231524152515261527152815291530153115321533153415351536153715381539154015411542154315441545154615471548154915501551155215531554155515561557155815591560156115621563156415651566156715681569157015711572157315741575157615771578157915801581158215831584158515861587158815891590159115921593159415951596159715981599160016011602160316041605160616071608160916101611161216131614161516161617161816191620162116221623162416251626162716281629163016311632163316341635163616371638163916401641164216431644164516461647164816491650165116521653165416551656165716581659166016611662166316641665166616671668166916701671167216731674167516761677167816791680168116821683168416851686168716881689169016911692169316941695169616971698169917001701170217031704170517061707170817091710171117121713171417151716171717181719172017211722172317241725172617271728172917301731173217331734173517361737173817391740174117421743174417451746174717481749175017511752175317541755175617571758175917601761176217631764176517661767176817691770177117721773177417751776177717781779178017811782178317841785178617871788178917901791179217931794179517961797179817991800180118021803180418051806
  1. #include "l.h"
  2. long OFFSET;
  3. static Prog *PP;
  4. long
  5. entryvalue(void)
  6. {
  7. char *a;
  8. Sym *s;
  9. a = INITENTRY;
  10. if(*a >= '0' && *a <= '9')
  11. return atolwhex(a);
  12. s = lookup(a, 0);
  13. if(s->type == 0)
  14. return INITTEXT;
  15. switch(s->type) {
  16. case STEXT:
  17. case SLEAF:
  18. break;
  19. case SDATA:
  20. if(dlm)
  21. return s->value+INITDAT;
  22. default:
  23. diag("entry not text: %s", s->name);
  24. }
  25. return s->value;
  26. }
  27. void
  28. asmb(void)
  29. {
  30. Prog *p;
  31. long t, etext;
  32. Optab *o;
  33. if(debug['v'])
  34. Bprint(&bso, "%5.2f asm\n", cputime());
  35. Bflush(&bso);
  36. OFFSET = HEADR;
  37. seek(cout, OFFSET, 0);
  38. pc = INITTEXT;
  39. for(p = firstp; p != P; p = p->link) {
  40. if(p->as == ATEXT) {
  41. curtext = p;
  42. autosize = p->to.offset + 4;
  43. }
  44. if(p->pc != pc) {
  45. diag("phase error %lux sb %lux",
  46. p->pc, pc);
  47. if(!debug['a'])
  48. prasm(curp);
  49. pc = p->pc;
  50. }
  51. curp = p;
  52. o = oplook(p); /* could probably avoid this call */
  53. asmout(p, o);
  54. pc += o->size;
  55. }
  56. if(debug['a'])
  57. Bprint(&bso, "\n");
  58. Bflush(&bso);
  59. cflush();
  60. /* output strings in text segment */
  61. etext = INITTEXT + textsize;
  62. for(t = pc; t < etext; t += sizeof(buf)-100) {
  63. if(etext-t > sizeof(buf)-100)
  64. datblk(t, sizeof(buf)-100, 1);
  65. else
  66. datblk(t, etext-t, 1);
  67. }
  68. curtext = P;
  69. switch(HEADTYPE) {
  70. case 0:
  71. case 1:
  72. case 2:
  73. case 5:
  74. case 7:
  75. OFFSET = HEADR+textsize;
  76. seek(cout, OFFSET, 0);
  77. break;
  78. case 3:
  79. case 6: /* no header, padded segments */
  80. OFFSET = rnd(HEADR+textsize, 4096);
  81. seek(cout, OFFSET, 0);
  82. break;
  83. }
  84. if(dlm){
  85. char buf[8];
  86. write(cout, buf, INITDAT-textsize);
  87. textsize = INITDAT;
  88. }
  89. for(t = 0; t < datsize; t += sizeof(buf)-100) {
  90. if(datsize-t > sizeof(buf)-100)
  91. datblk(t, sizeof(buf)-100, 0);
  92. else
  93. datblk(t, datsize-t, 0);
  94. }
  95. symsize = 0;
  96. lcsize = 0;
  97. if(!debug['s']) {
  98. if(debug['v'])
  99. Bprint(&bso, "%5.2f sym\n", cputime());
  100. Bflush(&bso);
  101. switch(HEADTYPE) {
  102. case 0:
  103. case 1:
  104. case 4:
  105. case 5:
  106. debug['s'] = 1;
  107. break;
  108. case 2:
  109. OFFSET = HEADR+textsize+datsize;
  110. seek(cout, OFFSET, 0);
  111. break;
  112. case 3:
  113. case 6: /* no header, padded segments */
  114. OFFSET += rnd(datsize, 4096);
  115. seek(cout, OFFSET, 0);
  116. break;
  117. case 7:
  118. break;
  119. }
  120. if(!debug['s'])
  121. asmsym();
  122. if(debug['v'])
  123. Bprint(&bso, "%5.2f pc\n", cputime());
  124. Bflush(&bso);
  125. if(!debug['s'])
  126. asmlc();
  127. if(dlm)
  128. asmdyn();
  129. cflush();
  130. }
  131. else if(dlm){
  132. seek(cout, HEADR+textsize+datsize, 0);
  133. asmdyn();
  134. cflush();
  135. }
  136. if(debug['v'])
  137. Bprint(&bso, "%5.2f header\n", cputime());
  138. Bflush(&bso);
  139. OFFSET = 0;
  140. seek(cout, OFFSET, 0);
  141. switch(HEADTYPE) {
  142. case 0: /* no header */
  143. case 6: /* no header, padded segments */
  144. break;
  145. case 1: /* aif for risc os */
  146. lputl(0xe1a00000); /* NOP - decompress code */
  147. lputl(0xe1a00000); /* NOP - relocation code */
  148. lputl(0xeb000000 + 12); /* BL - zero init code */
  149. lputl(0xeb000000 +
  150. (entryvalue()
  151. - INITTEXT
  152. + HEADR
  153. - 12
  154. - 8) / 4); /* BL - entry code */
  155. lputl(0xef000011); /* SWI - exit code */
  156. lputl(textsize+HEADR); /* text size */
  157. lputl(datsize); /* data size */
  158. lputl(0); /* sym size */
  159. lputl(bsssize); /* bss size */
  160. lputl(0); /* sym type */
  161. lputl(INITTEXT-HEADR); /* text addr */
  162. lputl(0); /* workspace - ignored */
  163. lputl(32); /* addr mode / data addr flag */
  164. lputl(0); /* data addr */
  165. for(t=0; t<2; t++)
  166. lputl(0); /* reserved */
  167. for(t=0; t<15; t++)
  168. lputl(0xe1a00000); /* NOP - zero init code */
  169. lputl(0xe1a0f00e); /* B (R14) - zero init return */
  170. break;
  171. case 2: /* plan 9 */
  172. if(dlm)
  173. lput(0x80000000|0x647); /* magic */
  174. else
  175. lput(0x647); /* magic */
  176. lput(textsize); /* sizes */
  177. lput(datsize);
  178. lput(bsssize);
  179. lput(symsize); /* nsyms */
  180. lput(entryvalue()); /* va of entry */
  181. lput(0L);
  182. lput(lcsize);
  183. break;
  184. case 3: /* boot for NetBSD */
  185. lput((143<<16)|0413); /* magic */
  186. lputl(rnd(HEADR+textsize, 4096));
  187. lputl(rnd(datsize, 4096));
  188. lputl(bsssize);
  189. lputl(symsize); /* nsyms */
  190. lputl(entryvalue()); /* va of entry */
  191. lputl(0L);
  192. lputl(0L);
  193. break;
  194. case 4: /* boot for IXP1200 */
  195. break;
  196. case 5: /* boot for ipaq */
  197. lputl(0xe3300000); /* nop */
  198. lputl(0xe3300000); /* nop */
  199. lputl(0xe3300000); /* nop */
  200. lputl(0xe3300000); /* nop */
  201. break;
  202. case 7: /* elf */
  203. debug['S'] = 1; /* symbol table */
  204. elf32(ARM, ELFDATA2LSB, 0, nil);
  205. break;
  206. }
  207. cflush();
  208. }
  209. void
  210. strnput(char *s, int n)
  211. {
  212. for(; *s; s++){
  213. cput(*s);
  214. n--;
  215. }
  216. for(; n > 0; n--)
  217. cput(0);
  218. }
  219. void
  220. cput(int c)
  221. {
  222. cbp[0] = c;
  223. cbp++;
  224. cbc--;
  225. if(cbc <= 0)
  226. cflush();
  227. }
  228. void
  229. wput(long l)
  230. {
  231. cbp[0] = l>>8;
  232. cbp[1] = l;
  233. cbp += 2;
  234. cbc -= 2;
  235. if(cbc <= 0)
  236. cflush();
  237. }
  238. void
  239. wputl(long l)
  240. {
  241. cbp[0] = l;
  242. cbp[1] = l>>8;
  243. cbp += 2;
  244. cbc -= 2;
  245. if(cbc <= 0)
  246. cflush();
  247. }
  248. void
  249. lput(long l)
  250. {
  251. cbp[0] = l>>24;
  252. cbp[1] = l>>16;
  253. cbp[2] = l>>8;
  254. cbp[3] = l;
  255. cbp += 4;
  256. cbc -= 4;
  257. if(cbc <= 0)
  258. cflush();
  259. }
  260. void
  261. lputl(long l)
  262. {
  263. cbp[3] = l>>24;
  264. cbp[2] = l>>16;
  265. cbp[1] = l>>8;
  266. cbp[0] = l;
  267. cbp += 4;
  268. cbc -= 4;
  269. if(cbc <= 0)
  270. cflush();
  271. }
  272. void
  273. llput(vlong v)
  274. {
  275. lput(v>>32);
  276. lput(v);
  277. }
  278. void
  279. llputl(vlong v)
  280. {
  281. lputl(v);
  282. lputl(v>>32);
  283. }
  284. void
  285. cflush(void)
  286. {
  287. int n;
  288. n = sizeof(buf.cbuf) - cbc;
  289. if(n)
  290. write(cout, buf.cbuf, n);
  291. cbp = buf.cbuf;
  292. cbc = sizeof(buf.cbuf);
  293. }
  294. void
  295. nopstat(char *f, Count *c)
  296. {
  297. if(c->outof)
  298. Bprint(&bso, "%s delay %ld/%ld (%.2f)\n", f,
  299. c->outof - c->count, c->outof,
  300. (double)(c->outof - c->count)/c->outof);
  301. }
  302. void
  303. asmsym(void)
  304. {
  305. Prog *p;
  306. Auto *a;
  307. Sym *s;
  308. int h;
  309. s = lookup("etext", 0);
  310. if(s->type == STEXT)
  311. putsymb(s->name, 'T', s->value, s->version);
  312. for(h=0; h<NHASH; h++)
  313. for(s=hash[h]; s!=S; s=s->link)
  314. switch(s->type) {
  315. case SCONST:
  316. putsymb(s->name, 'D', s->value, s->version);
  317. continue;
  318. case SDATA:
  319. putsymb(s->name, 'D', s->value+INITDAT, s->version);
  320. continue;
  321. case SBSS:
  322. putsymb(s->name, 'B', s->value+INITDAT, s->version);
  323. continue;
  324. case SSTRING:
  325. putsymb(s->name, 'T', s->value, s->version);
  326. continue;
  327. case SFILE:
  328. putsymb(s->name, 'f', s->value, s->version);
  329. continue;
  330. }
  331. for(p=textp; p!=P; p=p->cond) {
  332. s = p->from.sym;
  333. if(s->type != STEXT && s->type != SLEAF)
  334. continue;
  335. /* filenames first */
  336. for(a=p->to.autom; a; a=a->link)
  337. if(a->type == D_FILE)
  338. putsymb(a->asym->name, 'z', a->aoffset, 0);
  339. else
  340. if(a->type == D_FILE1)
  341. putsymb(a->asym->name, 'Z', a->aoffset, 0);
  342. if(s->type == STEXT)
  343. putsymb(s->name, 'T', s->value, s->version);
  344. else
  345. putsymb(s->name, 'L', s->value, s->version);
  346. /* frame, auto and param after */
  347. putsymb(".frame", 'm', p->to.offset+4, 0);
  348. for(a=p->to.autom; a; a=a->link)
  349. if(a->type == D_AUTO)
  350. putsymb(a->asym->name, 'a', -a->aoffset, 0);
  351. else
  352. if(a->type == D_PARAM)
  353. putsymb(a->asym->name, 'p', a->aoffset, 0);
  354. }
  355. if(debug['v'] || debug['n'])
  356. Bprint(&bso, "symsize = %lud\n", symsize);
  357. Bflush(&bso);
  358. }
  359. void
  360. putsymb(char *s, int t, long v, int ver)
  361. {
  362. int i, f;
  363. if(t == 'f')
  364. s++;
  365. lput(v);
  366. if(ver)
  367. t += 'a' - 'A';
  368. cput(t+0x80); /* 0x80 is variable length */
  369. if(t == 'Z' || t == 'z') {
  370. cput(s[0]);
  371. for(i=1; s[i] != 0 || s[i+1] != 0; i += 2) {
  372. cput(s[i]);
  373. cput(s[i+1]);
  374. }
  375. cput(0);
  376. cput(0);
  377. i++;
  378. }
  379. else {
  380. for(i=0; s[i]; i++)
  381. cput(s[i]);
  382. cput(0);
  383. }
  384. symsize += 4 + 1 + i + 1;
  385. if(debug['n']) {
  386. if(t == 'z' || t == 'Z') {
  387. Bprint(&bso, "%c %.8lux ", t, v);
  388. for(i=1; s[i] != 0 || s[i+1] != 0; i+=2) {
  389. f = ((s[i]&0xff) << 8) | (s[i+1]&0xff);
  390. Bprint(&bso, "/%x", f);
  391. }
  392. Bprint(&bso, "\n");
  393. return;
  394. }
  395. if(ver)
  396. Bprint(&bso, "%c %.8lux %s<%d>\n", t, v, s, ver);
  397. else
  398. Bprint(&bso, "%c %.8lux %s\n", t, v, s);
  399. }
  400. }
  401. #define MINLC 4
  402. void
  403. asmlc(void)
  404. {
  405. long oldpc, oldlc;
  406. Prog *p;
  407. long v, s;
  408. oldpc = INITTEXT;
  409. oldlc = 0;
  410. for(p = firstp; p != P; p = p->link) {
  411. if(p->line == oldlc || p->as == ATEXT || p->as == ANOP) {
  412. if(p->as == ATEXT)
  413. curtext = p;
  414. if(debug['V'])
  415. Bprint(&bso, "%6lux %P\n",
  416. p->pc, p);
  417. continue;
  418. }
  419. if(debug['V'])
  420. Bprint(&bso, "\t\t%6ld", lcsize);
  421. v = (p->pc - oldpc) / MINLC;
  422. while(v) {
  423. s = 127;
  424. if(v < 127)
  425. s = v;
  426. cput(s+128); /* 129-255 +pc */
  427. if(debug['V'])
  428. Bprint(&bso, " pc+%ld*%d(%ld)", s, MINLC, s+128);
  429. v -= s;
  430. lcsize++;
  431. }
  432. s = p->line - oldlc;
  433. oldlc = p->line;
  434. oldpc = p->pc + MINLC;
  435. if(s > 64 || s < -64) {
  436. cput(0); /* 0 vv +lc */
  437. cput(s>>24);
  438. cput(s>>16);
  439. cput(s>>8);
  440. cput(s);
  441. if(debug['V']) {
  442. if(s > 0)
  443. Bprint(&bso, " lc+%ld(%d,%ld)\n",
  444. s, 0, s);
  445. else
  446. Bprint(&bso, " lc%ld(%d,%ld)\n",
  447. s, 0, s);
  448. Bprint(&bso, "%6lux %P\n",
  449. p->pc, p);
  450. }
  451. lcsize += 5;
  452. continue;
  453. }
  454. if(s > 0) {
  455. cput(0+s); /* 1-64 +lc */
  456. if(debug['V']) {
  457. Bprint(&bso, " lc+%ld(%ld)\n", s, 0+s);
  458. Bprint(&bso, "%6lux %P\n",
  459. p->pc, p);
  460. }
  461. } else {
  462. cput(64-s); /* 65-128 -lc */
  463. if(debug['V']) {
  464. Bprint(&bso, " lc%ld(%ld)\n", s, 64-s);
  465. Bprint(&bso, "%6lux %P\n",
  466. p->pc, p);
  467. }
  468. }
  469. lcsize++;
  470. }
  471. while(lcsize & 1) {
  472. s = 129;
  473. cput(s);
  474. lcsize++;
  475. }
  476. if(debug['v'] || debug['V'])
  477. Bprint(&bso, "lcsize = %ld\n", lcsize);
  478. Bflush(&bso);
  479. }
  480. void
  481. datblk(long s, long n, int str)
  482. {
  483. Sym *v;
  484. Prog *p;
  485. char *cast;
  486. long a, l, fl, j, d;
  487. int i, c;
  488. memset(buf.dbuf, 0, n+100);
  489. for(p = datap; p != P; p = p->link) {
  490. if(str != (p->from.sym->type == SSTRING))
  491. continue;
  492. curp = p;
  493. a = p->from.sym->value + p->from.offset;
  494. l = a - s;
  495. c = p->reg;
  496. i = 0;
  497. if(l < 0) {
  498. if(l+c <= 0)
  499. continue;
  500. while(l < 0) {
  501. l++;
  502. i++;
  503. }
  504. }
  505. if(l >= n)
  506. continue;
  507. if(p->as != AINIT && p->as != ADYNT) {
  508. for(j=l+(c-i)-1; j>=l; j--)
  509. if(buf.dbuf[j]) {
  510. print("%P\n", p);
  511. diag("multiple initialization");
  512. break;
  513. }
  514. }
  515. switch(p->to.type) {
  516. default:
  517. diag("unknown mode in initialization%P", p);
  518. break;
  519. case D_FCONST:
  520. switch(c) {
  521. default:
  522. case 4:
  523. fl = ieeedtof(p->to.ieee);
  524. cast = (char*)&fl;
  525. for(; i<c; i++) {
  526. buf.dbuf[l] = cast[fnuxi4[i]];
  527. l++;
  528. }
  529. break;
  530. case 8:
  531. cast = (char*)p->to.ieee;
  532. for(; i<c; i++) {
  533. buf.dbuf[l] = cast[fnuxi8[i]];
  534. l++;
  535. }
  536. break;
  537. }
  538. break;
  539. case D_SCONST:
  540. for(; i<c; i++) {
  541. buf.dbuf[l] = p->to.sval[i];
  542. l++;
  543. }
  544. break;
  545. case D_CONST:
  546. d = p->to.offset;
  547. v = p->to.sym;
  548. if(v) {
  549. switch(v->type) {
  550. case SUNDEF:
  551. ckoff(v, d);
  552. case STEXT:
  553. case SLEAF:
  554. case SSTRING:
  555. d += p->to.sym->value;
  556. break;
  557. case SDATA:
  558. case SBSS:
  559. d += p->to.sym->value + INITDAT;
  560. }
  561. if(dlm)
  562. dynreloc(v, a+INITDAT, 1);
  563. }
  564. cast = (char*)&d;
  565. switch(c) {
  566. default:
  567. diag("bad nuxi %d %d%P", c, i, curp);
  568. break;
  569. case 1:
  570. for(; i<c; i++) {
  571. buf.dbuf[l] = cast[inuxi1[i]];
  572. l++;
  573. }
  574. break;
  575. case 2:
  576. for(; i<c; i++) {
  577. buf.dbuf[l] = cast[inuxi2[i]];
  578. l++;
  579. }
  580. break;
  581. case 4:
  582. for(; i<c; i++) {
  583. buf.dbuf[l] = cast[inuxi4[i]];
  584. l++;
  585. }
  586. break;
  587. }
  588. break;
  589. }
  590. }
  591. write(cout, buf.dbuf, n);
  592. }
  593. void
  594. asmout(Prog *p, Optab *o)
  595. {
  596. long o1, o2, o3, o4, o5, o6, v;
  597. int r, rf, rt, rt2;
  598. Sym *s;
  599. PP = p;
  600. o1 = 0;
  601. o2 = 0;
  602. o3 = 0;
  603. o4 = 0;
  604. o5 = 0;
  605. o6 = 0;
  606. switch(o->type) {
  607. default:
  608. diag("unknown asm %d", o->type);
  609. prasm(p);
  610. break;
  611. case 0: /* pseudo ops */
  612. break;
  613. case 1: /* op R,[R],R */
  614. o1 = oprrr(p->as, p->scond);
  615. rf = p->from.reg;
  616. rt = p->to.reg;
  617. r = p->reg;
  618. if(p->to.type == D_NONE)
  619. rt = 0;
  620. if(p->as == AMOVW || p->as == AMVN)
  621. r = 0;
  622. else if(r == NREG)
  623. r = rt;
  624. o1 |= rf | (r<<16) | (rt<<12);
  625. break;
  626. case 2: /* movbu $I,[R],R */
  627. aclass(&p->from);
  628. o1 = oprrr(p->as, p->scond);
  629. o1 |= immrot(instoffset);
  630. rt = p->to.reg;
  631. r = p->reg;
  632. if(p->to.type == D_NONE)
  633. rt = 0;
  634. if(p->as == AMOVW || p->as == AMVN)
  635. r = 0;
  636. else if(r == NREG)
  637. r = rt;
  638. o1 |= (r<<16) | (rt<<12);
  639. break;
  640. case 3: /* add R<<[IR],[R],R */
  641. mov:
  642. aclass(&p->from);
  643. o1 = oprrr(p->as, p->scond);
  644. o1 |= p->from.offset;
  645. rt = p->to.reg;
  646. r = p->reg;
  647. if(p->to.type == D_NONE)
  648. rt = 0;
  649. if(p->as == AMOVW || p->as == AMVN)
  650. r = 0;
  651. else if(r == NREG)
  652. r = rt;
  653. o1 |= (r<<16) | (rt<<12);
  654. break;
  655. case 4: /* add $I,[R],R */
  656. aclass(&p->from);
  657. o1 = oprrr(AADD, p->scond);
  658. o1 |= immrot(instoffset);
  659. r = p->from.reg;
  660. if(r == NREG)
  661. r = o->param;
  662. o1 |= r << 16;
  663. o1 |= p->to.reg << 12;
  664. break;
  665. case 5: /* bra s */
  666. v = -8;
  667. if(p->cond == UP) {
  668. s = p->to.sym;
  669. if(s->type != SUNDEF)
  670. diag("bad branch sym type");
  671. v = (ulong)s->value >> (Roffset-2);
  672. dynreloc(s, p->pc, 0);
  673. }
  674. else if(p->cond != P)
  675. v = (p->cond->pc - pc) - 8;
  676. o1 = opbra(p->as, p->scond);
  677. o1 |= (v >> 2) & 0xffffff;
  678. break;
  679. case 6: /* b ,O(R) -> add $O,R,PC */
  680. aclass(&p->to);
  681. o1 = oprrr(AADD, p->scond);
  682. o1 |= immrot(instoffset);
  683. o1 |= p->to.reg << 16;
  684. o1 |= REGPC << 12;
  685. break;
  686. case 7: /* bl ,O(R) -> mov PC,link; add $O,R,PC */
  687. aclass(&p->to);
  688. o1 = oprrr(AADD, p->scond);
  689. o1 |= immrot(0);
  690. o1 |= REGPC << 16;
  691. o1 |= REGLINK << 12;
  692. o2 = oprrr(AADD, p->scond);
  693. o2 |= immrot(instoffset);
  694. o2 |= p->to.reg << 16;
  695. o2 |= REGPC << 12;
  696. break;
  697. case 8: /* sll $c,[R],R -> mov (R<<$c),R */
  698. aclass(&p->from);
  699. o1 = oprrr(p->as, p->scond);
  700. r = p->reg;
  701. if(r == NREG)
  702. r = p->to.reg;
  703. o1 |= r;
  704. o1 |= (instoffset&31) << 7;
  705. o1 |= p->to.reg << 12;
  706. break;
  707. case 9: /* sll R,[R],R -> mov (R<<R),R */
  708. o1 = oprrr(p->as, p->scond);
  709. r = p->reg;
  710. if(r == NREG)
  711. r = p->to.reg;
  712. o1 |= r;
  713. o1 |= (p->from.reg << 8) | (1<<4);
  714. o1 |= p->to.reg << 12;
  715. break;
  716. case 10: /* swi [$con] */
  717. o1 = oprrr(p->as, p->scond);
  718. if(p->to.type != D_NONE) {
  719. aclass(&p->to);
  720. o1 |= instoffset & 0xffffff;
  721. }
  722. break;
  723. case 11: /* word */
  724. switch(aclass(&p->to)) {
  725. case C_LCON:
  726. if(!dlm)
  727. break;
  728. if(p->to.name != D_EXTERN && p->to.name != D_STATIC)
  729. break;
  730. case C_ADDR:
  731. if(p->to.sym->type == SUNDEF)
  732. ckoff(p->to.sym, p->to.offset);
  733. dynreloc(p->to.sym, p->pc, 1);
  734. }
  735. o1 = instoffset;
  736. break;
  737. case 12: /* movw $lcon, reg */
  738. o1 = omvl(p, &p->from, p->to.reg);
  739. break;
  740. case 13: /* op $lcon, [R], R */
  741. o1 = omvl(p, &p->from, REGTMP);
  742. if(!o1)
  743. break;
  744. o2 = oprrr(p->as, p->scond);
  745. o2 |= REGTMP;
  746. r = p->reg;
  747. if(p->as == AMOVW || p->as == AMVN)
  748. r = 0;
  749. else if(r == NREG)
  750. r = p->to.reg;
  751. o2 |= r << 16;
  752. if(p->to.type != D_NONE)
  753. o2 |= p->to.reg << 12;
  754. break;
  755. case 14: /* movb/movbu/movh/movhu R,R */
  756. o1 = oprrr(ASLL, p->scond);
  757. if(p->as == AMOVBU || p->as == AMOVHU)
  758. o2 = oprrr(ASRL, p->scond);
  759. else
  760. o2 = oprrr(ASRA, p->scond);
  761. r = p->to.reg;
  762. o1 |= (p->from.reg)|(r<<12);
  763. o2 |= (r)|(r<<12);
  764. if(p->as == AMOVB || p->as == AMOVBU) {
  765. o1 |= (24<<7);
  766. o2 |= (24<<7);
  767. } else {
  768. o1 |= (16<<7);
  769. o2 |= (16<<7);
  770. }
  771. break;
  772. case 15: /* mul r,[r,]r */
  773. o1 = oprrr(p->as, p->scond);
  774. rf = p->from.reg;
  775. rt = p->to.reg;
  776. r = p->reg;
  777. if(r == NREG)
  778. r = rt;
  779. if(rt == r) {
  780. r = rf;
  781. rf = rt;
  782. }
  783. if(0)
  784. if(rt == r || rf == REGPC || r == REGPC || rt == REGPC) {
  785. diag("bad registers in MUL");
  786. prasm(p);
  787. }
  788. o1 |= (rf<<8) | r | (rt<<16);
  789. break;
  790. case 16: /* div r,[r,]r */
  791. o1 = 0xf << 28;
  792. o2 = 0;
  793. break;
  794. case 17:
  795. o1 = oprrr(p->as, p->scond);
  796. rf = p->from.reg;
  797. rt = p->to.reg;
  798. rt2 = p->to.offset;
  799. r = p->reg;
  800. o1 |= (rf<<8) | r | (rt<<16) | (rt2<<12);
  801. break;
  802. case 20: /* mov/movb/movbu R,O(R) */
  803. aclass(&p->to);
  804. r = p->to.reg;
  805. if(r == NREG)
  806. r = o->param;
  807. o1 = osr(p->as, p->from.reg, instoffset, r, p->scond);
  808. break;
  809. case 21: /* mov/movbu O(R),R -> lr */
  810. aclass(&p->from);
  811. r = p->from.reg;
  812. if(r == NREG)
  813. r = o->param;
  814. o1 = olr(instoffset, r, p->to.reg, p->scond);
  815. if(p->as != AMOVW)
  816. o1 |= 1<<22;
  817. break;
  818. case 22: /* movb/movh/movhu O(R),R -> lr,shl,shr */
  819. aclass(&p->from);
  820. r = p->from.reg;
  821. if(r == NREG)
  822. r = o->param;
  823. o1 = olr(instoffset, r, p->to.reg, p->scond);
  824. o2 = oprrr(ASLL, p->scond);
  825. o3 = oprrr(ASRA, p->scond);
  826. r = p->to.reg;
  827. if(p->as == AMOVB) {
  828. o2 |= (24<<7)|(r)|(r<<12);
  829. o3 |= (24<<7)|(r)|(r<<12);
  830. } else {
  831. o2 |= (16<<7)|(r)|(r<<12);
  832. if(p->as == AMOVHU)
  833. o3 = oprrr(ASRL, p->scond);
  834. o3 |= (16<<7)|(r)|(r<<12);
  835. }
  836. break;
  837. case 23: /* movh/movhu R,O(R) -> sb,sb */
  838. aclass(&p->to);
  839. r = p->to.reg;
  840. if(r == NREG)
  841. r = o->param;
  842. o1 = osr(AMOVH, p->from.reg, instoffset, r, p->scond);
  843. o2 = oprrr(ASRL, p->scond);
  844. o2 |= (8<<7)|(p->from.reg)|(REGTMP<<12);
  845. o3 = osr(AMOVH, REGTMP, instoffset+1, r, p->scond);
  846. break;
  847. case 30: /* mov/movb/movbu R,L(R) */
  848. o1 = omvl(p, &p->to, REGTMP);
  849. if(!o1)
  850. break;
  851. r = p->to.reg;
  852. if(r == NREG)
  853. r = o->param;
  854. o2 = osrr(p->from.reg, REGTMP,r, p->scond);
  855. if(p->as != AMOVW)
  856. o2 |= 1<<22;
  857. break;
  858. case 31: /* mov/movbu L(R),R -> lr[b] */
  859. case 32: /* movh/movb L(R),R -> lr[b] */
  860. o1 = omvl(p, &p->from, REGTMP);
  861. if(!o1)
  862. break;
  863. r = p->from.reg;
  864. if(r == NREG)
  865. r = o->param;
  866. o2 = olrr(REGTMP,r, p->to.reg, p->scond);
  867. if(p->as == AMOVBU || p->as == AMOVB)
  868. o2 |= 1<<22;
  869. if(o->type == 31)
  870. break;
  871. o3 = oprrr(ASLL, p->scond);
  872. if(p->as == AMOVBU || p->as == AMOVHU)
  873. o4 = oprrr(ASRL, p->scond);
  874. else
  875. o4 = oprrr(ASRA, p->scond);
  876. r = p->to.reg;
  877. o3 |= (r)|(r<<12);
  878. o4 |= (r)|(r<<12);
  879. if(p->as == AMOVB || p->as == AMOVBU) {
  880. o3 |= (24<<7);
  881. o4 |= (24<<7);
  882. } else {
  883. o3 |= (16<<7);
  884. o4 |= (16<<7);
  885. }
  886. break;
  887. case 33: /* movh/movhu R,L(R) -> sb, sb */
  888. o1 = omvl(p, &p->to, REGTMP);
  889. if(!o1)
  890. break;
  891. r = p->to.reg;
  892. if(r == NREG)
  893. r = o->param;
  894. o2 = osrr(p->from.reg, REGTMP, r, p->scond);
  895. o2 |= (1<<22) ;
  896. o3 = oprrr(ASRL, p->scond);
  897. o3 |= (8<<7)|(p->from.reg)|(p->from.reg<<12);
  898. o3 |= (1<<6); /* ROR 8 */
  899. o4 = oprrr(AADD, p->scond);
  900. o4 |= (REGTMP << 12) | (REGTMP << 16);
  901. o4 |= immrot(1);
  902. o5 = osrr(p->from.reg, REGTMP,r,p->scond);
  903. o5 |= (1<<22);
  904. o6 = oprrr(ASRL, p->scond);
  905. o6 |= (24<<7)|(p->from.reg)|(p->from.reg<<12);
  906. o6 |= (1<<6); /* ROL 8 */
  907. break;
  908. case 34: /* mov $lacon,R */
  909. o1 = omvl(p, &p->from, REGTMP);
  910. if(!o1)
  911. break;
  912. o2 = oprrr(AADD, p->scond);
  913. o2 |= REGTMP;
  914. r = p->from.reg;
  915. if(r == NREG)
  916. r = o->param;
  917. o2 |= r << 16;
  918. if(p->to.type != D_NONE)
  919. o2 |= p->to.reg << 12;
  920. break;
  921. case 35: /* mov PSR,R */
  922. o1 = (2<<23) | (0xf<<16) | (0<<0);
  923. o1 |= (p->scond & C_SCOND) << 28;
  924. o1 |= (p->from.reg & 1) << 22;
  925. o1 |= p->to.reg << 12;
  926. break;
  927. case 36: /* mov R,PSR */
  928. o1 = (2<<23) | (0x29f<<12) | (0<<4);
  929. if(p->scond & C_FBIT)
  930. o1 ^= 0x010 << 12;
  931. o1 |= (p->scond & C_SCOND) << 28;
  932. o1 |= (p->to.reg & 1) << 22;
  933. o1 |= p->from.reg << 0;
  934. break;
  935. case 37: /* mov $con,PSR */
  936. aclass(&p->from);
  937. o1 = (2<<23) | (0x29f<<12) | (0<<4);
  938. if(p->scond & C_FBIT)
  939. o1 ^= 0x010 << 12;
  940. o1 |= (p->scond & C_SCOND) << 28;
  941. o1 |= immrot(instoffset);
  942. o1 |= (p->to.reg & 1) << 22;
  943. o1 |= p->from.reg << 0;
  944. break;
  945. case 38: /* movm $con,oreg -> stm */
  946. o1 = (0x4 << 25);
  947. o1 |= p->from.offset & 0xffff;
  948. o1 |= p->to.reg << 16;
  949. aclass(&p->to);
  950. goto movm;
  951. case 39: /* movm oreg,$con -> ldm */
  952. o1 = (0x4 << 25) | (1 << 20);
  953. o1 |= p->to.offset & 0xffff;
  954. o1 |= p->from.reg << 16;
  955. aclass(&p->from);
  956. movm:
  957. if(instoffset != 0)
  958. diag("offset must be zero in MOVM");
  959. o1 |= (p->scond & C_SCOND) << 28;
  960. if(p->scond & C_PBIT)
  961. o1 |= 1 << 24;
  962. if(p->scond & C_UBIT)
  963. o1 |= 1 << 23;
  964. if(p->scond & C_SBIT)
  965. o1 |= 1 << 22;
  966. if(p->scond & C_WBIT)
  967. o1 |= 1 << 21;
  968. break;
  969. case 40: /* swp oreg,reg,reg */
  970. aclass(&p->from);
  971. if(instoffset != 0)
  972. diag("offset must be zero in SWP");
  973. o1 = (0x2<<23) | (0x9<<4);
  974. if(p->as != ASWPW)
  975. o1 |= 1 << 22;
  976. o1 |= p->from.reg << 16;
  977. o1 |= p->reg << 0;
  978. o1 |= p->to.reg << 12;
  979. o1 |= (p->scond & C_SCOND) << 28;
  980. break;
  981. case 41: /* rfe -> movm.s.w.u 0(r13),[r15] */
  982. o1 = 0xe8fd8000;
  983. break;
  984. case 50: /* floating point store */
  985. v = regoff(&p->to);
  986. r = p->to.reg;
  987. if(r == NREG)
  988. r = o->param;
  989. o1 = ofsr(p->as, p->from.reg, v, r, p->scond, p);
  990. break;
  991. case 51: /* floating point load */
  992. v = regoff(&p->from);
  993. r = p->from.reg;
  994. if(r == NREG)
  995. r = o->param;
  996. o1 = ofsr(p->as, p->to.reg, v, r, p->scond, p) | (1<<20);
  997. break;
  998. case 52: /* floating point store, long offset UGLY */
  999. o1 = omvl(p, &p->to, REGTMP);
  1000. if(!o1)
  1001. break;
  1002. r = p->to.reg;
  1003. if(r == NREG)
  1004. r = o->param;
  1005. o2 = oprrr(AADD, p->scond) | (REGTMP << 12) | (REGTMP << 16) | r;
  1006. o3 = ofsr(p->as, p->from.reg, 0, REGTMP, p->scond, p);
  1007. break;
  1008. case 53: /* floating point load, long offset UGLY */
  1009. o1 = omvl(p, &p->from, REGTMP);
  1010. if(!o1)
  1011. break;
  1012. r = p->from.reg;
  1013. if(r == NREG)
  1014. r = o->param;
  1015. o2 = oprrr(AADD, p->scond) | (REGTMP << 12) | (REGTMP << 16) | r;
  1016. o3 = ofsr(p->as, p->to.reg, 0, REGTMP, p->scond, p) | (1<<20);
  1017. break;
  1018. case 54: /* floating point arith */
  1019. o1 = oprrr(p->as, p->scond);
  1020. if(p->from.type == D_FCONST) {
  1021. rf = chipfloat(p->from.ieee);
  1022. if(rf < 0){
  1023. diag("invalid floating-point immediate\n%P", p);
  1024. rf = 0;
  1025. }
  1026. rf |= (1<<3);
  1027. } else
  1028. rf = p->from.reg;
  1029. rt = p->to.reg;
  1030. r = p->reg;
  1031. if(p->to.type == D_NONE)
  1032. rt = 0; /* CMP[FD] */
  1033. else if(o1 & (1<<15))
  1034. r = 0; /* monadic */
  1035. else if(r == NREG)
  1036. r = rt;
  1037. o1 |= rf | (r<<16) | (rt<<12);
  1038. break;
  1039. case 55: /* floating point fix and float */
  1040. o1 = oprrr(p->as, p->scond);
  1041. rf = p->from.reg;
  1042. rt = p->to.reg;
  1043. if(p->to.type == D_NONE){
  1044. rt = 0;
  1045. diag("to.type==D_NONE (asm/fp)");
  1046. }
  1047. if(p->from.type == D_REG)
  1048. o1 |= (rf<<12) | (rt<<16);
  1049. else
  1050. o1 |= rf | (rt<<12);
  1051. break;
  1052. /* old arm 7500 fp using coproc 1 (1<<8) */
  1053. case 56: /* move to FP[CS]R */
  1054. o1 = ((p->scond & C_SCOND) << 28) | (0xe << 24) | (1<<8) | (1<<4);
  1055. o1 |= ((p->to.reg+1)<<21) | (p->from.reg << 12);
  1056. break;
  1057. case 57: /* move from FP[CS]R */
  1058. o1 = ((p->scond & C_SCOND) << 28) | (0xe << 24) | (1<<8) | (1<<4);
  1059. o1 |= ((p->from.reg+1)<<21) | (p->to.reg<<12) | (1<<20);
  1060. break;
  1061. case 58: /* movbu R,R */
  1062. o1 = oprrr(AAND, p->scond);
  1063. o1 |= immrot(0xff);
  1064. rt = p->to.reg;
  1065. r = p->from.reg;
  1066. if(p->to.type == D_NONE)
  1067. rt = 0;
  1068. if(r == NREG)
  1069. r = rt;
  1070. o1 |= (r<<16) | (rt<<12);
  1071. break;
  1072. case 59: /* movw/bu R<<I(R),R -> ldr indexed */
  1073. if(p->from.reg == NREG) {
  1074. if(p->as != AMOVW)
  1075. diag("byte MOV from shifter operand");
  1076. goto mov;
  1077. }
  1078. if(p->from.offset&(1<<4))
  1079. diag("bad shift in LDR");
  1080. o1 = olrr(p->from.offset, p->from.reg, p->to.reg, p->scond);
  1081. if(p->as == AMOVBU)
  1082. o1 |= 1<<22;
  1083. break;
  1084. case 60: /* movb R(R),R -> ldrsb indexed */
  1085. if(p->from.reg == NREG) {
  1086. diag("byte MOV from shifter operand");
  1087. goto mov;
  1088. }
  1089. if(p->from.offset&(~0xf))
  1090. diag("bad shift in LDRSB");
  1091. o1 = olhrr(p->from.offset, p->from.reg, p->to.reg, p->scond);
  1092. o1 ^= (1<<5)|(1<<6);
  1093. break;
  1094. case 61: /* movw/b/bu R,R<<[IR](R) -> str indexed */
  1095. if(p->to.reg == NREG)
  1096. diag("MOV to shifter operand");
  1097. o1 = osrr(p->from.reg, p->to.offset, p->to.reg, p->scond);
  1098. if(p->as == AMOVB || p->as == AMOVBU)
  1099. o1 |= 1<<22;
  1100. break;
  1101. case 62: /* case R -> movw R<<2(PC),PC */
  1102. o1 = olrr(p->from.reg, REGPC, REGPC, p->scond);
  1103. o1 |= 2<<7;
  1104. break;
  1105. case 63: /* bcase */
  1106. if(p->cond != P) {
  1107. o1 = p->cond->pc;
  1108. if(dlm)
  1109. dynreloc(S, p->pc, 1);
  1110. }
  1111. break;
  1112. /* reloc ops */
  1113. case 64: /* mov/movb/movbu R,addr */
  1114. o1 = omvl(p, &p->to, REGTMP);
  1115. if(!o1)
  1116. break;
  1117. o2 = osr(p->as, p->from.reg, 0, REGTMP, p->scond);
  1118. break;
  1119. case 65: /* mov/movbu addr,R */
  1120. case 66: /* movh/movhu/movb addr,R */
  1121. o1 = omvl(p, &p->from, REGTMP);
  1122. if(!o1)
  1123. break;
  1124. o2 = olr(0, REGTMP, p->to.reg, p->scond);
  1125. if(p->as == AMOVBU || p->as == AMOVB)
  1126. o2 |= 1<<22;
  1127. if(o->type == 65)
  1128. break;
  1129. o3 = oprrr(ASLL, p->scond);
  1130. if(p->as == AMOVBU || p->as == AMOVHU)
  1131. o4 = oprrr(ASRL, p->scond);
  1132. else
  1133. o4 = oprrr(ASRA, p->scond);
  1134. r = p->to.reg;
  1135. o3 |= (r)|(r<<12);
  1136. o4 |= (r)|(r<<12);
  1137. if(p->as == AMOVB || p->as == AMOVBU) {
  1138. o3 |= (24<<7);
  1139. o4 |= (24<<7);
  1140. } else {
  1141. o3 |= (16<<7);
  1142. o4 |= (16<<7);
  1143. }
  1144. break;
  1145. case 67: /* movh/movhu R,addr -> sb, sb */
  1146. o1 = omvl(p, &p->to, REGTMP);
  1147. if(!o1)
  1148. break;
  1149. o2 = osr(p->as, p->from.reg, 0, REGTMP, p->scond);
  1150. o3 = oprrr(ASRL, p->scond);
  1151. o3 |= (8<<7)|(p->from.reg)|(p->from.reg<<12);
  1152. o3 |= (1<<6); /* ROR 8 */
  1153. o4 = oprrr(AADD, p->scond);
  1154. o4 |= (REGTMP << 12) | (REGTMP << 16);
  1155. o4 |= immrot(1);
  1156. o5 = osr(p->as, p->from.reg, 0, REGTMP, p->scond);
  1157. o6 = oprrr(ASRL, p->scond);
  1158. o6 |= (24<<7)|(p->from.reg)|(p->from.reg<<12);
  1159. o6 |= (1<<6); /* ROL 8 */
  1160. break;
  1161. case 68: /* floating point store -> ADDR */
  1162. o1 = omvl(p, &p->to, REGTMP);
  1163. if(!o1)
  1164. break;
  1165. o2 = ofsr(p->as, p->from.reg, 0, REGTMP, p->scond, p);
  1166. break;
  1167. case 69: /* floating point load <- ADDR */
  1168. o1 = omvl(p, &p->from, REGTMP);
  1169. if(!o1)
  1170. break;
  1171. o2 = ofsr(p->as, p->to.reg, 0, REGTMP, p->scond, p) | (1<<20);
  1172. break;
  1173. /* ArmV4 ops: */
  1174. case 70: /* movh/movhu R,O(R) -> strh */
  1175. aclass(&p->to);
  1176. r = p->to.reg;
  1177. if(r == NREG)
  1178. r = o->param;
  1179. o1 = oshr(p->from.reg, instoffset, r, p->scond);
  1180. break;
  1181. case 71: /* movb/movh/movhu O(R),R -> ldrsb/ldrsh/ldrh */
  1182. aclass(&p->from);
  1183. r = p->from.reg;
  1184. if(r == NREG)
  1185. r = o->param;
  1186. o1 = olhr(instoffset, r, p->to.reg, p->scond);
  1187. if(p->as == AMOVB)
  1188. o1 ^= (1<<5)|(1<<6);
  1189. else if(p->as == AMOVH)
  1190. o1 ^= (1<<6);
  1191. break;
  1192. case 72: /* movh/movhu R,L(R) -> strh */
  1193. o1 = omvl(p, &p->to, REGTMP);
  1194. if(!o1)
  1195. break;
  1196. r = p->to.reg;
  1197. if(r == NREG)
  1198. r = o->param;
  1199. o2 = oshrr(p->from.reg, REGTMP,r, p->scond);
  1200. break;
  1201. case 73: /* movb/movh/movhu L(R),R -> ldrsb/ldrsh/ldrh */
  1202. o1 = omvl(p, &p->from, REGTMP);
  1203. if(!o1)
  1204. break;
  1205. r = p->from.reg;
  1206. if(r == NREG)
  1207. r = o->param;
  1208. o2 = olhrr(REGTMP, r, p->to.reg, p->scond);
  1209. if(p->as == AMOVB)
  1210. o2 ^= (1<<5)|(1<<6);
  1211. else if(p->as == AMOVH)
  1212. o2 ^= (1<<6);
  1213. break;
  1214. /* VFP ops: */
  1215. case 74: /* vfp floating point arith */
  1216. o1 = opvfprrr(p->as, p->scond);
  1217. rf = p->from.reg;
  1218. if(p->from.type == D_FCONST) {
  1219. diag("invalid floating-point immediate\n%P", p);
  1220. rf = 0;
  1221. }
  1222. rt = p->to.reg;
  1223. r = p->reg;
  1224. if(r == NREG)
  1225. r = rt;
  1226. o1 |= rt<<12;
  1227. if(((o1>>20)&0xf) == 0xb)
  1228. o1 |= rf<<0;
  1229. else
  1230. o1 |= r<<16 | rf<<0;
  1231. break;
  1232. case 75: /* vfp floating point compare */
  1233. o1 = opvfprrr(p->as, p->scond);
  1234. rf = p->from.reg;
  1235. if(p->from.type == D_FCONST) {
  1236. if(p->from.ieee->h != 0 || p->from.ieee->l != 0)
  1237. diag("invalid floating-point immediate\n%P", p);
  1238. o1 |= 1<<16;
  1239. rf = 0;
  1240. }
  1241. rt = p->reg;
  1242. o1 |= rt<<12 | rf<<0;
  1243. o2 = 0x0ef1fa10; /* MRS APSR_nzcv, FPSCR */
  1244. o2 |= (p->scond & C_SCOND) << 28;
  1245. break;
  1246. case 76: /* vfp floating point fix and float */
  1247. o1 = opvfprrr(p->as, p->scond);
  1248. rf = p->from.reg;
  1249. rt = p->to.reg;
  1250. if(p->from.type == D_REG) {
  1251. o2 = o1 | rt<<12 | rt<<0;
  1252. o1 = 0x0e000a10; /* VMOV F,R */
  1253. o1 |= (p->scond & C_SCOND) << 28 | rt<<16 | rf<<12;
  1254. } else {
  1255. o1 |= FREGTMP<<12 | rf<<0;
  1256. o2 = 0x0e100a10; /* VMOV R,F */
  1257. o2 |= (p->scond & C_SCOND) << 28 | FREGTMP<<16 | rt<<12;
  1258. }
  1259. break;
  1260. }
  1261. if(debug['a'] > 1)
  1262. Bprint(&bso, "%2d ", o->type);
  1263. v = p->pc;
  1264. switch(o->size) {
  1265. default:
  1266. if(debug['a'])
  1267. Bprint(&bso, " %.8lux:\t\t%P\n", v, p);
  1268. break;
  1269. case 4:
  1270. if(debug['a'])
  1271. Bprint(&bso, " %.8lux: %.8lux\t%P\n", v, o1, p);
  1272. lputl(o1);
  1273. break;
  1274. case 8:
  1275. if(debug['a'])
  1276. Bprint(&bso, " %.8lux: %.8lux %.8lux%P\n", v, o1, o2, p);
  1277. lputl(o1);
  1278. lputl(o2);
  1279. break;
  1280. case 12:
  1281. if(debug['a'])
  1282. Bprint(&bso, " %.8lux: %.8lux %.8lux %.8lux%P\n", v, o1, o2, o3, p);
  1283. lputl(o1);
  1284. lputl(o2);
  1285. lputl(o3);
  1286. break;
  1287. case 16:
  1288. if(debug['a'])
  1289. Bprint(&bso, " %.8lux: %.8lux %.8lux %.8lux %.8lux%P\n",
  1290. v, o1, o2, o3, o4, p);
  1291. lputl(o1);
  1292. lputl(o2);
  1293. lputl(o3);
  1294. lputl(o4);
  1295. break;
  1296. case 20:
  1297. if(debug['a'])
  1298. Bprint(&bso, " %.8lux: %.8lux %.8lux %.8lux %.8lux %.8lux%P\n",
  1299. v, o1, o2, o3, o4, o5, p);
  1300. lputl(o1);
  1301. lputl(o2);
  1302. lputl(o3);
  1303. lputl(o4);
  1304. lputl(o5);
  1305. break;
  1306. case 24:
  1307. if(debug['a'])
  1308. Bprint(&bso, " %.8lux: %.8lux %.8lux %.8lux %.8lux %.8lux %.8lux%P\n",
  1309. v, o1, o2, o3, o4, o5, o6, p);
  1310. lputl(o1);
  1311. lputl(o2);
  1312. lputl(o3);
  1313. lputl(o4);
  1314. lputl(o5);
  1315. lputl(o6);
  1316. break;
  1317. }
  1318. }
  1319. long
  1320. oprrr(int a, int sc)
  1321. {
  1322. long o;
  1323. o = (sc & C_SCOND) << 28;
  1324. if(sc & C_SBIT)
  1325. o |= 1 << 20;
  1326. if(sc & (C_PBIT|C_WBIT))
  1327. diag(".P/.W on dp instruction");
  1328. switch(a) {
  1329. case AMULU:
  1330. case AMUL: return o | (0x0<<21) | (0x9<<4);
  1331. case AMULA: return o | (0x1<<21) | (0x9<<4);
  1332. case AMULLU: return o | (0x4<<21) | (0x9<<4);
  1333. case AMULL: return o | (0x6<<21) | (0x9<<4);
  1334. case AMULALU: return o | (0x5<<21) | (0x9<<4);
  1335. case AMULAL: return o | (0x7<<21) | (0x9<<4);
  1336. case AAND: return o | (0x0<<21);
  1337. case AEOR: return o | (0x1<<21);
  1338. case ASUB: return o | (0x2<<21);
  1339. case ARSB: return o | (0x3<<21);
  1340. case AADD: return o | (0x4<<21);
  1341. case AADC: return o | (0x5<<21);
  1342. case ASBC: return o | (0x6<<21);
  1343. case ARSC: return o | (0x7<<21);
  1344. case ATST: return o | (0x8<<21) | (1<<20);
  1345. case ATEQ: return o | (0x9<<21) | (1<<20);
  1346. case ACMP: return o | (0xa<<21) | (1<<20);
  1347. case ACMN: return o | (0xb<<21) | (1<<20);
  1348. case AORR: return o | (0xc<<21);
  1349. case AMOVW: return o | (0xd<<21);
  1350. case ABIC: return o | (0xe<<21);
  1351. case AMVN: return o | (0xf<<21);
  1352. case ASLL: return o | (0xd<<21) | (0<<5);
  1353. case ASRL: return o | (0xd<<21) | (1<<5);
  1354. case ASRA: return o | (0xd<<21) | (2<<5);
  1355. case ASWI: return o | (0xf<<24);
  1356. /* old arm 7500 fp using coproc 1 (1<<8) */
  1357. case AADDD: return o | (0xe<<24) | (0x0<<20) | (1<<8) | (1<<7);
  1358. case AADDF: return o | (0xe<<24) | (0x0<<20) | (1<<8);
  1359. case AMULD: return o | (0xe<<24) | (0x1<<20) | (1<<8) | (1<<7);
  1360. case AMULF: return o | (0xe<<24) | (0x1<<20) | (1<<8);
  1361. case ASUBD: return o | (0xe<<24) | (0x2<<20) | (1<<8) | (1<<7);
  1362. case ASUBF: return o | (0xe<<24) | (0x2<<20) | (1<<8);
  1363. case ADIVD: return o | (0xe<<24) | (0x4<<20) | (1<<8) | (1<<7);
  1364. case ADIVF: return o | (0xe<<24) | (0x4<<20) | (1<<8);
  1365. case ACMPD:
  1366. case ACMPF: return o | (0xe<<24) | (0x9<<20) | (0xF<<12) | (1<<8) | (1<<4); /* arguably, ACMPF should expand to RNDF, CMPD */
  1367. case AMOVF:
  1368. case AMOVDF: return o | (0xe<<24) | (0x0<<20) | (1<<15) | (1<<8);
  1369. case AMOVD:
  1370. case AMOVFD: return o | (0xe<<24) | (0x0<<20) | (1<<15) | (1<<8) | (1<<7);
  1371. case AMOVWF: return o | (0xe<<24) | (0<<20) | (1<<8) | (1<<4);
  1372. case AMOVWD: return o | (0xe<<24) | (0<<20) | (1<<8) | (1<<4) | (1<<7);
  1373. case AMOVFW: return o | (0xe<<24) | (1<<20) | (1<<8) | (1<<4);
  1374. case AMOVDW: return o | (0xe<<24) | (1<<20) | (1<<8) | (1<<4) | (1<<7);
  1375. }
  1376. diag("bad rrr %d", a);
  1377. prasm(curp);
  1378. return 0;
  1379. }
  1380. long
  1381. opvfprrr(int a, int sc)
  1382. {
  1383. long o;
  1384. o = (sc & C_SCOND) << 28;
  1385. if(sc & (C_SBIT|C_PBIT|C_WBIT))
  1386. diag(".S/.P/.W on vfp instruction");
  1387. o |= 0xe<<24;
  1388. switch(a) {
  1389. case AMOVWD: return o | 0xb<<8 | 0xb<<20 | 1<<6 | 0x8<<16 | 1<<7;
  1390. case AMOVWF: return o | 0xa<<8 | 0xb<<20 | 1<<6 | 0x8<<16 | 1<<7;
  1391. case AMOVDW: return o | 0xb<<8 | 0xb<<20 | 1<<6 | 0xD<<16 | 1<<7;
  1392. case AMOVFW: return o | 0xa<<8 | 0xb<<20 | 1<<6 | 0xD<<16 | 1<<7;
  1393. case AMOVFD: return o | 0xa<<8 | 0xb<<20 | 1<<6 | 0x7<<16 | 1<<7;
  1394. case AMOVDF: return o | 0xb<<8 | 0xb<<20 | 1<<6 | 0x7<<16 | 1<<7;
  1395. case AMOVF: return o | 0xa<<8 | 0xb<<20 | 1<<6 | 0x0<<16 | 0<<7;
  1396. case AMOVD: return o | 0xb<<8 | 0xb<<20 | 1<<6 | 0x0<<16 | 0<<7;
  1397. case ACMPF: return o | 0xa<<8 | 0xb<<20 | 1<<6 | 0x4<<16 | 0<<7;
  1398. case ACMPD: return o | 0xb<<8 | 0xb<<20 | 1<<6 | 0x4<<16 | 0<<7;
  1399. case AADDF: return o | 0xa<<8 | 0x3<<20;
  1400. case AADDD: return o | 0xb<<8 | 0x3<<20;
  1401. case ASUBF: return o | 0xa<<8 | 0x3<<20 | 1<<6;
  1402. case ASUBD: return o | 0xb<<8 | 0x3<<20 | 1<<6;
  1403. case AMULF: return o | 0xa<<8 | 0x2<<20;
  1404. case AMULD: return o | 0xb<<8 | 0x2<<20;
  1405. case ADIVF: return o | 0xa<<8 | 0x8<<20;
  1406. case ADIVD: return o | 0xb<<8 | 0x8<<20;
  1407. }
  1408. diag("bad vfp rrr %d", a);
  1409. prasm(curp);
  1410. return 0;
  1411. }
  1412. long
  1413. opbra(int a, int sc)
  1414. {
  1415. if(sc & (C_SBIT|C_PBIT|C_WBIT))
  1416. diag(".S/.P/.W on bra instruction");
  1417. sc &= C_SCOND;
  1418. if(a == ABL)
  1419. return (sc<<28)|(0x5<<25)|(0x1<<24);
  1420. if(sc != 0xe)
  1421. diag(".COND on bcond instruction");
  1422. switch(a) {
  1423. case ABEQ: return (0x0<<28)|(0x5<<25);
  1424. case ABNE: return (0x1<<28)|(0x5<<25);
  1425. case ABCS: return (0x2<<28)|(0x5<<25);
  1426. case ABHS: return (0x2<<28)|(0x5<<25);
  1427. case ABCC: return (0x3<<28)|(0x5<<25);
  1428. case ABLO: return (0x3<<28)|(0x5<<25);
  1429. case ABMI: return (0x4<<28)|(0x5<<25);
  1430. case ABPL: return (0x5<<28)|(0x5<<25);
  1431. case ABVS: return (0x6<<28)|(0x5<<25);
  1432. case ABVC: return (0x7<<28)|(0x5<<25);
  1433. case ABHI: return (0x8<<28)|(0x5<<25);
  1434. case ABLS: return (0x9<<28)|(0x5<<25);
  1435. case ABGE: return (0xa<<28)|(0x5<<25);
  1436. case ABLT: return (0xb<<28)|(0x5<<25);
  1437. case ABGT: return (0xc<<28)|(0x5<<25);
  1438. case ABLE: return (0xd<<28)|(0x5<<25);
  1439. case AB: return (0xe<<28)|(0x5<<25);
  1440. }
  1441. diag("bad bra %A", a);
  1442. prasm(curp);
  1443. return 0;
  1444. }
  1445. long
  1446. olr(long v, int b, int r, int sc)
  1447. {
  1448. long o;
  1449. if(sc & C_SBIT)
  1450. diag(".S on LDR/STR instruction");
  1451. o = (sc & C_SCOND) << 28;
  1452. if(!(sc & C_PBIT))
  1453. o |= 1 << 24;
  1454. if(!(sc & C_UBIT))
  1455. o |= 1 << 23;
  1456. if(sc & C_WBIT)
  1457. o |= 1 << 21;
  1458. o |= (0x1<<26) | (1<<20);
  1459. if(v < 0) {
  1460. v = -v;
  1461. o ^= 1 << 23;
  1462. }
  1463. if(v >= (1<<12))
  1464. diag("literal span too large: %ld (R%d)\n%P", v, b, PP);
  1465. o |= v;
  1466. o |= b << 16;
  1467. o |= r << 12;
  1468. return o;
  1469. }
  1470. long
  1471. olhr(long v, int b, int r, int sc)
  1472. {
  1473. long o;
  1474. if(sc & C_SBIT)
  1475. diag(".S on LDRH/STRH instruction");
  1476. o = (sc & C_SCOND) << 28;
  1477. if(!(sc & C_PBIT))
  1478. o |= 1 << 24;
  1479. if(sc & C_WBIT)
  1480. o |= 1 << 21;
  1481. o |= (1<<23) | (1<<20)|(0xb<<4);
  1482. if(v < 0) {
  1483. v = -v;
  1484. o ^= 1 << 23;
  1485. }
  1486. if(v >= (1<<8))
  1487. diag("literal span too large: %ld (R%d)\n%P", v, b, PP);
  1488. o |= (v&0xf)|((v>>4)<<8)|(1<<22);
  1489. o |= b << 16;
  1490. o |= r << 12;
  1491. return o;
  1492. }
  1493. long
  1494. osr(int a, int r, long v, int b, int sc)
  1495. {
  1496. long o;
  1497. o = olr(v, b, r, sc) ^ (1<<20);
  1498. if(a != AMOVW)
  1499. o |= 1<<22;
  1500. return o;
  1501. }
  1502. long
  1503. oshr(int r, long v, int b, int sc)
  1504. {
  1505. long o;
  1506. o = olhr(v, b, r, sc) ^ (1<<20);
  1507. return o;
  1508. }
  1509. long
  1510. osrr(int r, int i, int b, int sc)
  1511. {
  1512. return olr(i, b, r, sc) ^ ((1<<25) | (1<<20));
  1513. }
  1514. long
  1515. oshrr(int r, int i, int b, int sc)
  1516. {
  1517. return olhr(i, b, r, sc) ^ ((1<<22) | (1<<20));
  1518. }
  1519. long
  1520. olrr(int i, int b, int r, int sc)
  1521. {
  1522. return olr(i, b, r, sc) ^ (1<<25);
  1523. }
  1524. long
  1525. olhrr(int i, int b, int r, int sc)
  1526. {
  1527. return olhr(i, b, r, sc) ^ (1<<22);
  1528. }
  1529. long
  1530. ovfpmem(int a, int r, long v, int b, int sc, Prog *p)
  1531. {
  1532. long o;
  1533. if(sc & (C_SBIT|C_PBIT|C_WBIT))
  1534. diag(".S/.P/.W on VLDR/VSTR instruction");
  1535. o = (sc & C_SCOND) << 28;
  1536. o |= 0xd<<24 | (1<<23);
  1537. if(v < 0) {
  1538. v = -v;
  1539. o ^= 1 << 23;
  1540. }
  1541. if(v & 3)
  1542. diag("odd offset for floating point op: %ld\n%P", v, p);
  1543. else if(v >= (1<<10))
  1544. diag("literal span too large: %ld\n%P", v, p);
  1545. o |= (v>>2) & 0xFF;
  1546. o |= b << 16;
  1547. o |= r << 12;
  1548. switch(a) {
  1549. default:
  1550. diag("bad fst %A", a);
  1551. case AMOVD:
  1552. o |= 0xb<<8;
  1553. break;
  1554. case AMOVF:
  1555. o |= 0xa<<8;
  1556. break;
  1557. }
  1558. return o;
  1559. }
  1560. long
  1561. ofsr(int a, int r, long v, int b, int sc, Prog *p)
  1562. {
  1563. long o;
  1564. if(vfp)
  1565. return ovfpmem(a, r, v, b, sc, p);
  1566. if(sc & C_SBIT)
  1567. diag(".S on FLDR/FSTR instruction");
  1568. o = (sc & C_SCOND) << 28;
  1569. if(!(sc & C_PBIT))
  1570. o |= 1 << 24;
  1571. if(sc & C_WBIT)
  1572. o |= 1 << 21;
  1573. o |= (6<<25) | (1<<24) | (1<<23);
  1574. if(v < 0) {
  1575. v = -v;
  1576. o ^= 1 << 23;
  1577. }
  1578. if(v & 3)
  1579. diag("odd offset for floating point op: %ld\n%P", v, p);
  1580. else if(v >= (1<<10))
  1581. diag("literal span too large: %ld\n%P", v, p);
  1582. o |= (v>>2) & 0xFF;
  1583. o |= b << 16;
  1584. o |= r << 12;
  1585. o |= 1 << 8;
  1586. switch(a) {
  1587. default:
  1588. diag("bad fst %A", a);
  1589. case AMOVD:
  1590. o |= 1<<15;
  1591. case AMOVF:
  1592. break;
  1593. }
  1594. return o;
  1595. }
  1596. long
  1597. omvl(Prog *p, Adr *a, int dr)
  1598. {
  1599. long v, o1;
  1600. if(!p->cond) {
  1601. aclass(a);
  1602. v = immrot(~instoffset);
  1603. if(v == 0) {
  1604. diag("missing literal");
  1605. prasm(p);
  1606. return 0;
  1607. }
  1608. o1 = oprrr(AMVN, p->scond&C_SCOND);
  1609. o1 |= v;
  1610. o1 |= dr << 12;
  1611. } else {
  1612. v = p->cond->pc - p->pc - 8;
  1613. o1 = olr(v, REGPC, dr, p->scond&C_SCOND);
  1614. }
  1615. return o1;
  1616. }
  1617. static Ieee chipfloats[] = {
  1618. {0x00000000, 0x00000000}, /* 0 */
  1619. {0x00000000, 0x3ff00000}, /* 1 */
  1620. {0x00000000, 0x40000000}, /* 2 */
  1621. {0x00000000, 0x40080000}, /* 3 */
  1622. {0x00000000, 0x40100000}, /* 4 */
  1623. {0x00000000, 0x40140000}, /* 5 */
  1624. {0x00000000, 0x3fe00000}, /* .5 */
  1625. {0x00000000, 0x40240000}, /* 10 */
  1626. };
  1627. int
  1628. chipfloat(Ieee *e)
  1629. {
  1630. Ieee *p;
  1631. int n;
  1632. if(vfp)
  1633. return -1;
  1634. for(n = sizeof(chipfloats)/sizeof(chipfloats[0]); --n >= 0;){
  1635. p = &chipfloats[n];
  1636. if(p->l == e->l && p->h == e->h)
  1637. return n;
  1638. }
  1639. return -1;
  1640. }